image

Contoh Percobaan Microposesor

MOCHAMMAD YASIR
11.11.2639
TI 11 D




­SEVEN SEGMENT

Seven Segment merupakan segmen-segmen yang digunakan untuk menampilkan angka. Biasanya seven segment disusun atas dioda LED sebanyak  7 buah yang disusun membentuk penampilan angka, dan biasanya ditambah 1 buah LED yang digunakan untuk tanda koma (Dot Point)..

Berikut ini merupakan Gambar Display Sevent Segment :



  















Gbr. tampilan seven segment

Seven Segment ada dua jenis yaitu:
1.       Comon Anode (CA)
Merupakan semua anoda dari LED dalam seven segment yang disatukan secara paralel dan semua itu dihubungkan ke VCC, dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka Common Anoda ini berada pada kondisi Aktif Low (Led akan menyala/aktif bila diberi logika 0).
2.       Common Catode (CC)
Merupakan kebalikan dari Common Anoda. Disini semua katoda disatukan secara parallel dan dihubungkan ke Ground. Karena seluruh katoda dihubungkan ke Ground, maka Common Katoda ini berada pada kondisi Aktif High (Led akan menyala/aktif bila diberi logika 1).
Berikut ini merupakan gambar dari masing-masing jenis Seven Segment ;












Gbr. Seven  Segment Common Catoda (CC) dan Common Anoda (CA)

Berikut ini merupakan contoh dari Sevent Segmen :
Untuk membuat seperti berikut ini software yang dibutuhkan yaitu menggunakan :
1.       MIDE-51
2.       Top View Simulator
Sementara aplikasi yang dipakai hanya itu saja.
Langkah pertama buka MIDE-51 dan ketikan script berikut ini :

$mod51
org 00h
mulai : mov p1,#11000000b ; dimulai dari bil binner angka 0
acall tunda
mov p1,#11111001b ;  bil binner angka 1
acall tunda
mov p1,#10100100b ;  bil binner angka 2
acall tunda
mov p1,#10110000b ;  bil binner angka 3
acall tunda
mov p1,#10011001b ;  bil binner angka 4
acall tunda
mov p1,#10010010b ;  bil binner angka 5
acall tunda
mov p1,#10000010b ;  bil binner angka 6
acall tunda
mov p1,#11111000b ;  bil binner angka 7
acall tunda
mov p1,#10000000b ;  bil binner angka 8
acall tunda
mov p1,#10010000b ;  bil binner angka 9
acall tunda
tunda : mov r0, #0FFh
tunda1 : mov r1, #00h
tunda2 : nop ;     untuk memberi jeda pada ketika seven segment berjalan dari 0 sampai 9
nop ;  apabila nop semakin banyak bilangan pada seven segment semakin lambat
nop
nop
nop
djnz r1,tunda2
djnz r0,tunda1
ret
end



















Gbr. Script 7segment

Setelah selesai lalu simpan dengan nama 7segmen.asm
Jangan Lupa extensi filenya *.ASM
Lalu buka Top View Simulatornya
  1.      Pada Top View Simulator pilih file-external modules setting-LED
  2.       Hilangkan tanda centang pada port1 – port3
  3.       Unceklist ( jangan dicentang) pada no seven segment display
  4.        Lalu masuk ke Selection of port Lines and number of digits setting seperti gambar berikut ini :













Gbr. LED Module Port Line Selection 

1.      Selanjutnya Pilih menu View – External Modules - LED
2.       Buka program  7Segment.hex lalu Go.
3.       Hasil nya akan tampil di sevent segmen angka 0 sampai 9 berjalan secara otomatis






















Sumber :
1.       http://guru.technosains.com



0 komentar:

Posting Komentar